CDCM6208时钟配置 - Kintex-7 - 嵌入式开发者社区 - 51ele.net
设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

查看: 6328|回复: 6
打印 上一主题 下一主题

[已解决] CDCM6208时钟配置

[复制链接]

1

主题

4

帖子

31

积分

新手上路

Rank: 1

积分
31
跳转到指定楼层
楼主
发表于 2019-12-3 16:26:22 | 显示全部楼层 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 nylle 于 2019-12-26 22:13 编辑

请问:
我想在Y6通道上得到148.5MHz:

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖
回复

使用道具 举报

1

主题

4

帖子

31

积分

新手上路

Rank: 1

积分
31
沙发
 楼主| 发表于 2019-12-4 09:44:44 | 显示全部楼层
使用tronlng的Kintex7核心板
回复 支持 反对

使用道具 举报

1

主题

4

帖子

31

积分

新手上路

Rank: 1

积分
31
板凳
 楼主| 发表于 2019-12-16 14:22:50 | 显示全部楼层
谢谢您的回复,但是如果把上述参数直接填写到官方的Software里面,得到的频率输出如下图,应该是可以得到148.5MHz的,不知道为什么?您是怎么计算得到VCO频率不符合要求的?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
回复 支持 反对

使用道具 举报

1

主题

4

帖子

31

积分

新手上路

Rank: 1

积分
31
地板
 楼主| 发表于 2019-12-25 13:51:42 | 显示全部楼层
本帖最后由 nylle 于 2019-12-26 22:16 编辑
czs----Tronlong 发表于 2019-12-6 14:58
Dear,

      CDCM6208配置时,VCO频率不能超出规定范围,VCO输出频率的范围要求:

请取消最佳答案,不是你所说的问题,我已经解决了。

点评

您好,还需要确认一下您的工程中是否加入了CDCM6208的引脚约束: set_property PACKAGE_PIN J23 [get_ports i_sys_rstn] set_property IOSTANDARD LVCMOS33 [get_ports i_sys_rstn] set_property PACKAGE_PIN G22  详情 回复 发表于 2020-1-7 17:19
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-5-3 06:33 , Processed in 0.037845 second(s), 25 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

快速回复 返回顶部 返回列表