CDCM6208时钟配置 - Kintex-7 - 嵌入式开发者社区 - 51ele.net
设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

查看: 6069|回复: 6

[已解决] CDCM6208时钟配置

[复制链接]

1

主题

4

帖子

31

积分

新手上路

Rank: 1

积分
31
发表于 2019-12-3 16:26:22 | 显示全部楼层 |阅读模式
本帖最后由 nylle 于 2019-12-26 22:13 编辑

请问:
我想在Y6通道上得到148.5MHz:

回复

使用道具 举报

1

主题

4

帖子

31

积分

新手上路

Rank: 1

积分
31
 楼主| 发表于 2019-12-4 09:44:44 | 显示全部楼层
使用tronlng的Kintex7核心板
回复 支持 反对

使用道具 举报

44

主题

368

帖子

2894

积分

创龙

Rank: 8Rank: 8

积分
2894
发表于 2019-12-6 14:58:50 | 显示全部楼层
Dear,

      CDCM6208配置时,VCO频率不能超出规定范围,VCO输出频率的范围要求:
2.39 GHz to 2.55 GHz (CDCM6208V1) and 2.94 GHz to 3.13 GHz (CDCM6208V2)
这个范围要求在CDCM6208的数据手册中是有说明的:



根据您提供的配置寄存器数值,计算出VCO输出频率为1600MHz,不在要求范围内,所以导致CDCM6208不能正常工作。

CDCM6208相关参考链接如下,希望能够帮到您:
https://www.cnblogs.com/zhangbing12304/p/10667970.html

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
回复 支持 反对

使用道具 举报

1

主题

4

帖子

31

积分

新手上路

Rank: 1

积分
31
 楼主| 发表于 2019-12-16 14:22:50 | 显示全部楼层
谢谢您的回复,但是如果把上述参数直接填写到官方的Software里面,得到的频率输出如下图,应该是可以得到148.5MHz的,不知道为什么?您是怎么计算得到VCO频率不符合要求的?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
回复 支持 反对

使用道具 举报

1

主题

4

帖子

31

积分

新手上路

Rank: 1

积分
31
 楼主| 发表于 2019-12-25 13:51:42 | 显示全部楼层
本帖最后由 nylle 于 2019-12-26 22:16 编辑
czs----Tronlong 发表于 2019-12-6 14:58
Dear,

      CDCM6208配置时,VCO频率不能超出规定范围,VCO输出频率的范围要求:

请取消最佳答案,不是你所说的问题,我已经解决了。

点评

您好,还需要确认一下您的工程中是否加入了CDCM6208的引脚约束: set_property PACKAGE_PIN J23 [get_ports i_sys_rstn] set_property IOSTANDARD LVCMOS33 [get_ports i_sys_rstn] set_property PACKAGE_PIN G22  详情 回复 发表于 2020-1-7 17:19
回复 支持 反对

使用道具 举报

44

主题

368

帖子

2894

积分

创龙

Rank: 8Rank: 8

积分
2894
发表于 2020-1-7 17:19:42 | 显示全部楼层
nylle 发表于 2019-12-25 13:51
请取消最佳答案,不是你所说的问题,我已经解决了。

您好,还需要确认一下您的工程中是否加入了CDCM6208的引脚约束:


set_property PACKAGE_PIN J23 [get_ports i_sys_rstn]
set_property IOSTANDARD LVCMOS33 [get_ports i_sys_rstn]
set_property PACKAGE_PIN G22 [get_ports i_sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports i_sys_clk]

set_property IOSTANDARD LVCMOS33 [get_ports o_6208_sdi]
set_property PACKAGE_PIN A20 [get_ports o_6208_sdi]

set_property IOSTANDARD LVCMOS33 [get_ports o_6208_scs]
set_property PACKAGE_PIN E22 [get_ports o_6208_scs]

set_property IOSTANDARD LVCMOS33 [get_ports o_6208_scl]
set_property PACKAGE_PIN C21 [get_ports o_6208_scl]

set_property IOSTANDARD LVCMOS33 [get_ports {o_6208_mode[0]}]
set_property PACKAGE_PIN B20 [get_ports {o_6208_mode[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {o_6208_mode[1]}]
set_property PACKAGE_PIN C22 [get_ports {o_6208_mode[1]}]

set_property IOSTANDARD LVCMOS33 [get_ports o_6208_pdn]
set_property PACKAGE_PIN B26 [get_ports o_6208_pdn]

set_property IOSTANDARD LVCMOS33 [get_ports o_6208_ref_sel]
set_property PACKAGE_PIN B21 [get_ports o_6208_ref_sel]

set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]
回复 支持 反对

使用道具 举报

0

主题

9

帖子

42

积分

新手上路

Rank: 1

积分
42
发表于 2020-12-1 13:18:00 | 显示全部楼层
详细介绍:此女很温柔,安徽快3很热情,不机车,很温柔。长的很白,身材很棒,尤其是台湾今彩539穿上丝袜更诱人。口活儿很棒,不让停就不停。值得一去幸运飞艇

回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-3-28 18:21 , Processed in 0.041379 second(s), 26 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

快速回复 返回顶部 返回列表