设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

收藏本版 |订阅

Kintex-7 今日: 0|主题: 17|排名: 20 

作者 回复/查看 最后发表
已解决 gtxbank116时钟问题 attach_img MODULE 2019-12-6 24660 mannia 2023-5-15 16:27
未解决 k7的这些时钟资源怎么用啊? 新人帖 attach_img xdh_k7 2021-8-24 23450 xdh_k7 2021-8-25 12:39
已解决 CDCM6208时钟配置 新人帖 attach_img nylle 2019-12-3 66238 mannia 2020-12-1 13:18
已解决 emif例程时钟问题 attach_img MODULE 2019-11-26 55784 mannia 2020-12-1 13:08
未解决 K7与6678使用EMIF接口通信问题 attach_img 深山居士 2020-2-18 24777 mannia 2020-12-1 12:53
已解决 Vivado无法综合xc7k325t系列,求教解决办法 新人帖 attach_img thw1996 2019-11-8 24550 czs----Tronlong 2019-11-8 11:45
已解决 SRIO能否直接和电脑相连 XXX666999 2019-10-6 14216 czs----Tronlong 2019-10-8 23:18
已解决 TLK7-EVM GTX的参考时钟输入问题 attach_img czs----Tronlong 2019-9-25 14084 czs----Tronlong 2019-9-25 12:58
未解决 FPGA与DSP的SRIO通信 新人帖 attach_img jia 2019-6-26 13946 czs----Tronlong 2019-7-16 09:44
已解决 哪位兄弟有XC7K410T芯片的SRIO的IP核,最好是最新版本的。谢... 新人帖 attach_img mtwlbh 2019-7-6 13943 czs----Tronlong 2019-7-8 14:08
已解决 ila debug调式,报错1 ERROR:[Labtools 27-3176] 新人帖 attach_img 123cff 2019-5-8 15675 czs----Tronlong 2019-5-8 16:49
已解决 k7fmc-a3开发版与tl9613/9706f采集板使用问题 新人帖 attach_img busyingbee 2019-5-6 34232 czs----Tronlong 2019-5-6 16:22
已解决 TLK7-EVM 核心板 可编程时钟修改 新人帖 zhengqiangemail 2019-5-6 14203 czs----Tronlong 2019-5-6 16:13
已解决 管脚电压问题 attach_img 轩辕逡巡 2018-10-19 14394 tronlong-gxd 2018-10-19 13:48
已解决 B2B五合一封装 attachment  ...2 轩辕逡巡 2018-10-9 118667 tronlong-gxd 2018-10-10 09:14
已解决 K7引脚问题 新人帖 轩辕逡巡 2018-9-19 14410 tronlong-gxd 2018-9-20 08:53
已解决 AD子板TL9613使用问题 新人帖 attach_img wrhwlj 2018-9-18 54667 tronlong-gxd 2018-9-19 11:14

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-4-20 18:03 , Processed in 0.025077 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

返回顶部 返回版块