DSP与ARM通信的奇怪问题 - OMAP-L138 - 嵌入式开发者社区 - 51ele.net
设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

查看: 4691|回复: 7
打印 上一主题 下一主题

[已解决] DSP与ARM通信的奇怪问题

[复制链接]

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
跳转到指定楼层
楼主
发表于 2017-4-16 13:54:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 wwfdzh2012 于 2017-4-17 12:09 编辑 ' q9 R9 k& i7 W  g0 S
- Q8 N4 E9 N- I5 z
项目要求DSP不跑操作系统,arm核上linux3.3,在做双核通信的linux设备驱动时候遇到了一个诡异的问题,只要DSP通过CHIPSIG_INT0触发ARM中断,ARM中的linux内核的其他很多驱动都停止了工作,连自己的led控制驱动都失去了响应,流水灯也失去了闪烁,LCD显示也停止了刷新,但是运行GUI还是可以显示界面,就是界面不能刷新,触摸屏也不懂了。好像是其他驱动都阻塞了!!!!
我的linux设备驱动是这样实现的:使用Tasklet实现软中断,只要DSP的数据一准备好就通过CHIPSIG_INT0触发ARM中断,这时候驱动的tasklet顶半部会立刻响应中断去读取数据,然后调度tasklet的底半部,这样的流程一般是没问题才对,但是现象就是只要顶半部被触发了,其他驱动就异常了,linux也没有任何提示,而且无法恢复,触发重启内核,但是唯独这个驱动完全正常运行,数据照样读取也不丢帧,还有一个现象是DSP触发一次CHIPSIG_INT0中断,ARM核会响应两次,也就是顶半部会触发两次调用!!我的驱动实现如下,麻烦帮忙看下。
  1. //头文件省略
    : n% T2 t4 P7 z3 Y* @  `6 @

  2. # u( S. l7 F& k
  3. //引入其他模块函数和变量7 o+ j9 ^+ z# d! f* y7 g. x
  4. extern Ping_Pong_Buffer res_buff;
    : x7 S0 ^* ~9 P& p& _: }

  5. ( F8 R5 d1 o  a  c' t$ ^8 B6 \  T
  6. extern char k_linkQueue_create(linkQueue *queue, unsigned int dataType, unsigned int blockNumber, unsigned int blockSize);//创建链队列+ \4 X* }. b" s- x) l
  7. extern void k_linkQueue_release(linkQueue *queue);//释放链队列
    7 Q1 I& ]& V+ E9 F+ J* g; `4 u
  8. extern unsigned int k_linkQueue_insertData(linkQueue *queue, void *data, int force);//链队列插入数据2 h; s, F0 Z! n6 k3 g
  9. extern unsigned int k_linkQueue_getData(linkQueue *queue, void *r_buff);//获取队列的数据3 G; x; L, x5 L3 d

  10. 8 N( ^' x) g+ N7 m1 U
  11. extern void SHM_ARM_Init(unsigned char* const mem_base);//共享内存初始化: c% \" U9 o+ m' O2 g

  12. $ S1 X, B# e) p! D' e: s4 k. m
  13. static int read_quest = 0;//如果有读取数据请求且链队列无数据可读,则置1
    " N7 s1 }2 X6 N- `1 H/ R$ Q2 y
  14. //设置主从设备号! Z) e% Q- E* C' w
  15. #define PROTOCOL_MAJOR      1- e* U  {5 p4 T5 m' q* W
  16. #define PROTOCOL_MINOR      05 g$ h( L' D. F7 R

  17. 6 g) L5 e7 L, F
  18. - Y) J" v/ |2 h! L3 ]; E

  19. 7 m' o" }7 P" W
  20. //定义设备驱动的名字或设备节点的名字
    , Y6 R8 S4 C3 ?4 s
  21. #define     DEVICE_NAME         "protocol_driver"
    8 S5 v& t( m- {2 p* W
  22. 8 D# Q  Y5 b$ F6 G( W& p
  23. ( D9 T" ]3 L9 g
  24. //定义全局的循环队列作为数据缓冲区
    # |# p! F1 {5 w* @& K
  25. k_linkQueue queue;* J5 @  c0 }8 P0 U* C# {5 T

  26. ) U7 T. e' F& o, s! l0 z: r
  27. //寄存器地址映射全局变量
    6 Y, J6 P1 x$ k7 r' U
  28. unsigned int *R_CHIPSIG = NULL;//CHIPSIG寄存器
    2 x# H' x/ s( N
  29. unsigned int *R_CHIPSIG_CLR = NULL;//CHIPSIG_CLR寄存器7 C& _. ?. x- B# p- o

  30. ( j4 {8 D: f1 v) r
  31. //物理内存映射全局变量
    3 W* ~9 E$ W# }( |
  32. volatile void *mem_base = NULL;
    - y0 z; v" G2 v" t5 p
  33. volatile unsigned char *cur_buf_ptr = NULL;# J- s3 ?- M0 B5 a+ u
  34. volatile unsigned char *data_ready_ptr = NULL;
    5 Y2 _9 |. C8 E/ z7 \7 T# F, D

  35. ( j5 {: i- x1 t9 f# _/ V2 C

  36. & ~$ o( F; \4 Z" R) }
  37.   w* S1 O* O" s! `( B! O
  38. //定义读数据等待队列头,IO阻塞
    9 H) x* b& d# z2 @7 S+ E
  39. DECLARE_WAIT_QUEUE_HEAD(wait_queue_head);
    3 l8 t+ A9 V6 U. k* H; F
  40. , |8 j) Z4 x, F
  41. //定义原子变量! K# v4 R: h: W+ p
  42. static atomic_t dev_available = ATOMIC_INIT(1);//原子变量的值为1,即只能一个进程打开此设备
    , i5 j% c& o2 N8 l0 X3 S2 g! u
  43. 3 G/ j) w* v5 Y. f

  44. ; C5 H8 t0 f) y* @$ Y$ e: o- q
  45. //定义设备类- ?* `4 p+ A3 G
  46. static struct class *protocol_class;( r0 L3 ?& X: w8 w' X9 G
  47. struct cdev *protocol_cdev;1 }, n  W8 V5 H. o% @+ x. Q
  48. dev_t protocol_dev_no;
    : ^- P; T0 z/ |+ m! ]$ u
  49. / [; D" p2 X" E* E7 F. {7 k, y
  50. /*定义tasklet和声明底半部函数并关联*/9 d# z) U4 d* c  ^- _; R
  51. void read_data_tasklet(unsigned long);) [4 F( v/ }  U9 H1 x6 U
  52. . Q3 r3 v1 ~. p$ g0 P. j" x. c3 w+ z
  53. DECLARE_TASKLET(CHIPINT0_tasklet,read_data,0);" N1 T# H0 i# C$ D4 [) z
  54. //将CHIPINT0_tasklet与read_data绑定,传入参数06 I% L. J5 F1 p% Y4 {+ p$ j% B# G
  55. $ t+ w7 @* T( H' n0 \
  56. /*中断处理底半部, 拷贝内存*/
    $ y" n: t9 v" w
  57. void read_data(unsigned long a)- R0 x* o5 k% a# W; L
  58. {
    5 t4 Y- J" ], Y/ m
  59.     if(read_quest == 1)//如果进程调用了此驱动的read函数且链队列没有数据可读,read_quest将会被赋值为1
    & ^* |- l+ ~" O5 T
  60.     {
    , G$ [1 J7 P1 ]$ U: r* e
  61.         read_quest = 0;' {* G$ g1 i4 U! D7 M0 \: w, `- A" e
  62.         wake_up_interruptible(&wait_queue_head);//唤醒读等待队列. A& ^: @. s/ I1 e4 Y  [: V+ m
  63.     }
    - M& \8 M! B0 U( s  Y
  64. $ C7 i; g# z3 ~9 Y7 ^( ~! j7 X
  65. }3 }8 h% }! |9 |

  66. ; J: e3 S$ i/ |9 k/ V2 x& z& O
  67. /*中断处理顶半部*/& {! \' c1 c/ P, `# e# a; P( t
  68. irqreturn_t CHIPINT0_interrupt(int irq,void *dev_id)2 J! o" L* K- w1 Q
  69. {6 i+ e$ a  m/ r# H2 }( d$ g
  70.     //只要一触发进入这个程序,其他驱动会出问题,即使这个程序什么都不做也会这样
    ) n: n. A( b! b: E
  71.     volatile Buffer_Type *next_read;
    7 |/ Y& T) \8 {: R0 X. @, \
  72.     //如果DSP数据已经ready
    ' x/ J5 }  d9 @1 }' |
  73.     if(*(res_buff.cur_buffer->data_ready) == 1); B9 K) Q8 U4 R. g
  74.     {6 [- Q( p5 t+ h) P0 ?$ h: Y% \
  75.         if(*(res_buff.bufferID_ptr) == BUFF_ID_PING)//切换读buffer3 p) I8 j1 k: B1 _
  76.         {9 D( c+ y0 C' ~8 W7 e
  77.             next_read = &res_buff.pong_buffer;//下一次中断读pong buffer
    * d% |8 x9 z1 h7 l. u' W7 M! {
  78.             //printk(KERN_ALERT"read ping\n");2 o  X7 m+ u* U
  79.         }" X' A! x% k) e. [- d, O
  80.         else& v! Z( A- w. M% T
  81.         {$ w) a' ?9 K( b, n* d. g
  82.             next_read = &res_buff.ping_buffer;//下一次中断读ping buffer
    $ V& N- }0 u4 M
  83.             //printk(KERN_ALERT"read pong\n");
    ! A  d8 |; N% v& p2 d+ G
  84.         }- h; ]+ c9 r- S$ }9 f
  85.         *(res_buff.bufferID_ptr) ^= BUFF_ID_PING;//切换DSP写另一个buffer
    / B! b% Y  z" e& Y# [, e/ S! B
  86.         //将数据插入链队列
    / o, d: P9 A* o& d5 [) D
  87.         k_linkQueue_insertData(&queue, res_buff.cur_buffer->buf_ptr, 0);
    3 i9 V9 G, {' y0 }  e
  88.         //标识位都重置
    & G1 S! J% _0 D+ F
  89.         *(res_buff.cur_buffer->data_ready) = 0;
    - r! m2 g- y3 \/ G
  90.         *(res_buff.cur_buffer->data_size) = 0;
    ) d; f. L$ F  i$ A3 L- O& V* D
  91.         res_buff.cur_buffer = next_read;
    ; S- ?* ?. T# d3 U; m% T2 V
  92.     }
    ( }: p( m% ]( ]" [1 t
  93.     //清楚中断标识
      x/ m" R1 W( e) |  M1 j6 W
  94.     *R_CHIPSIG_CLR = 1 << 0;//clear chipint0 status
      e, k7 @% E; p4 \8 ^
  95.     tasklet_schedule(&CHIPINT0_tasklet);//调度底半部     % O& D" c6 [# K' _0 v7 Z! [+ [. |

  96. . I4 v2 d3 P; h& y

  97. ; F  F- H, f' a7 b- p! L2 s: F
  98.     return IRQ_HANDLED;
    % _4 o4 `  Q* p8 {+ b  D3 G

  99. # e. ]5 q. f. {" ^- m# t, D# p  J
  100. }3 t5 T/ u6 p/ Y. y/ L  u( I1 d/ a
  101. , s. U1 l3 a- G% l7 B
  102. //文件打开函数
    & J: M6 C  I: e& |  Z2 _
  103. static int protocol_open(struct inode *inode, struct file *file)
    . y+ f# [+ z2 a3 L" v# u' {
  104. {# n3 i  L4 `9 I& w" g6 c; h+ I9 W
  105.     int result = 0;$ I( o4 r% `: Y" M- b5 T
  106.     if(!atomic_dec_and_test(&dev_available))
    ( S0 M- W- {9 y
  107.     {
    0 y- j9 ]1 L4 U0 B' I  {' G
  108.         atomic_inc(&dev_available);
    ' ], f# ~/ f& B, K6 E. x2 ]
  109.         return -EBUSY;//设备已经被打开
    5 |1 F" n& n2 F3 _( I
  110.     }, J& X& P  T; b$ Y6 B: X( F
  111.     printk (KERN_ALERT "\nprotrol driver open\n");
    , B& U* `) x( t( B: l$ n' a
  112.     return 0;4 N7 T2 _, T0 H0 }$ g7 R
  113. }) {9 x( g( i5 [4 J  m, G# g

  114. 8 i# T1 E0 I) W4 k+ q& y
  115. //文件释放函数
    ; i, z2 G0 E! u) A0 f* i+ }2 r
  116. static int protocol_release(struct inode *inode, struct file *filp)
    * o- \% |/ f* |8 O
  117. {
    # e& i4 x! l- u) u" f# T( v
  118.     atomic_inc(&dev_available);//释放设备,原子变量加10 B7 P% |" ^! W" n% P
  119.     printk (KERN_ALERT "device released\n");
    , L3 T- i) q) z  M* S5 Z3 a
  120.     return 0;
    5 u6 Q! D4 R5 d) C+ C
  121. }% v# v' h7 J/ E8 j. O
  122. ( R* |4 J/ `: x2 i% l* Q
  123. //文件读函数' `2 p, p* f0 e8 c9 M  A% a; H
  124. static int protocol_read(struct file *filp, char *dst, size_t size, loff_t*offset)" g4 S7 f4 d/ ]& j5 T
  125. {
    / B* S3 O9 X. a1 O. C
  126.     int ret = 0;
    6 J% M# l. P5 ?3 Q
  127.     //定义等待队列6 [5 u! b0 S  Z+ t8 f5 O. L
  128.     DECLARE_WAITQUEUE(wait_queue, current);//定义等待队列, a1 P* F0 U' N+ A4 p9 ~
  129.     add_wait_queue(&wait_queue_head, &wait_queue);//添加等待队列
    : e3 S4 |% j2 e
  130.     if(queue.remainNumber == queue.blockNumber)//当前buffer没数据
    0 T* G7 v1 R6 {: [  Q/ k
  131.     {
    % c( m: q: D3 D7 Y
  132.         //printk(KERN_ALERT"\nbuffer no data\n");$ g1 W! v' \& ~3 M
  133.         //如果是非阻塞方式读取,则直接跳出5 q4 S4 Q4 j! V( f
  134.         if(filp->f_flags & O_NONBLOCK)
    & ]' y& z6 |* h: n# V
  135.         {# ?* y; W0 v8 ]. J" j) ?1 G
  136.             ret = -EAGAIN;
    3 }- {. E" [# P0 e7 u# H
  137.             goto out;
    0 k# k* E/ G7 t: N9 O! r8 k
  138.         }4 `* G4 D; u; a3 B" j+ M4 v& u
  139.         //阻塞当前进程,放弃cpu资源
    % g2 y" u2 L7 w8 S% S
  140.         read_quest = 1;  X/ d' x4 s6 F" _' H
  141.         __set_current_state(TASK_INTERRUPTIBLE);//改变进程状态为睡眠3 }8 C% I, n/ h0 P+ H& O
  142.         schedule();//调度其他进程运行
    , m. r- Y2 y) a
  143.         if(signal_pending(current))
    + |$ B& k+ o0 F/ v
  144.         {
    7 F/ T% \- ~6 H+ c7 _" ^
  145.             //如果是因为信号被唤醒,则返回到系统调用之前的地方
    2 R1 _- g2 E) s% w/ u5 i' n
  146.             ret = -ERESTARTSYS;, M4 \# r& K: y0 _0 V7 q  l* U/ c
  147.             goto out;
    . U7 G; o7 i2 H! l9 I' O6 q
  148.         }2 U5 ~( v7 V' N, h) d% V
  149.     }$ O' y& m) S$ n' |$ X$ N
  150.     //将数据拷贝到用户空间
    8 B" B6 H2 i& o6 {4 B& k
  151.     ret = k_linkQueue_getData(&queue, dst);
    ' {& `% n: g$ W6 T
  152.     if(ret == 0)8 a; R$ |( J( d- ?  |
  153.     {4 a. o$ ]* b2 I) ^+ S: @1 M* f
  154.         //printk(KERN_ALERT"\ncopy data to user space failed :%d\n", ret);' J; A. z1 F2 E2 Q2 y: l2 x
  155.     }
    ) W: c+ ]  i3 H2 h# @
  156. out:4 Y; k/ M1 O  E1 H# L) ]; F
  157.     remove_wait_queue(&wait_queue_head, &wait_queue);//移除等待队列+ `2 b; k: f0 U; U7 I
  158.     set_current_state(TASK_RUNNING);//设置当前进程为运行状态
    4 ?- f, b* S4 J. v; [, P
  159.     return ret;! M; M+ K; n5 [7 v7 F8 A( O
  160. }0 A6 y2 u" N% ~- H5 }

  161. # t- Y# t( s! Q2 H3 m

  162. - g' @) f" ]- _* D! Z* [- }& |6 v8 q
  163. static long protocol_ioctl(struct file *file, unsigned int cmd, unsigned long value)1 J7 _" O6 {% s! }$ y8 c
  164. {
    9 x/ `6 P1 r* S5 V9 [
  165.     return 0;
    ) s& U- H9 |/ w/ w
  166. }: _9 f1 T7 Q8 f
  167. /*驱动文件操作结构体,file_operations结构体中的成员函数会在应用程序进行
    1 v2 p, @/ A) u; Z( e
  168. open()、release()、ioctl()协同调用时被调用*/, A& R+ i4 b1 e
  169. static const struct file_operations protocol_fops =2 o. ?  o7 n4 z& R% l2 [
  170. {1 {8 v8 j* r2 t1 \: P" P+ Y
  171.   .owner    = THIS_MODULE,! g- |% v# Q6 ~$ G; o- y2 \$ e
  172.   .open     = protocol_open,1 O  }# [! B$ b$ X
  173.   .release  = protocol_release,% A1 H! h3 z) Q; ^: q
  174.   .read     = protocol_read,
    ; T( m$ f' ]+ |/ h. W2 y
  175. //  .write  = protocol_write,
    3 C; Z. `5 j- Z1 {7 {/ v4 R% \5 p
  176.   .unlocked_ioctl=protocol_ioctl," ]$ Q# H7 N; j$ ?
  177. };
    3 D6 z+ u1 p5 r9 ~8 }
  178. 5 P' A0 w+ B! ~
  179. /*设备驱动模块加载函数*/( t. y5 C9 X8 ~
  180. int __init protocol_init(void)
    / |6 H! B" P; d4 |* r
  181. {, b& ]2 w6 e6 B9 l1 W6 e
  182.     int ret = 0;" G8 E) w* I; \
  183.     int result = 0;
    % {$ Q0 S- k3 @; K( U
  184.     //申请注册设备号(动态)3 o* R- ^" m7 u
  185.     ret=alloc_chrdev_region(&protocol_dev_no, PROTOCOL_MINOR, 1, DEVICE_NAME);
    : o) z2 i2 |8 ?" X8 M
  186.     if(ret < 0)
    : z; z# _' R# n* V( U
  187.     {8 K- y# ]3 u  a1 ~
  188.             printk(KERN_EMERG "alloc_chrdev_region failed\n");
    8 e- i' r# s6 {' u0 m3 O8 q+ e
  189.             return 0;
    ( D& {8 ?. \4 p9 _$ a) N5 \
  190.     }
    * N; s: @/ z1 ?" ^) e
  191.     //分配cdev! \" t% B3 T1 H# G# S+ L1 S
  192.     protocol_cdev = cdev_alloc();5 F* D; w2 F0 B! c. D) c6 T
  193.     if(protocol_cdev == NULL)/ A% D+ O5 K1 K* D, F1 A8 G9 S
  194.     {6 [0 E! V- k  ?; p) k" _5 Y8 S3 h
  195.             printk(KERN_EMERG "Cannot alloc cdev\n");& i3 K3 z0 l: p+ U( S
  196.             return 0;
    ) L9 G* B: {; u2 w
  197.     }
    - \* U8 ^3 u% \% U; M
  198.     //初始化cdev% T& o% X5 r5 ^5 Y2 k$ F3 L. A' r
  199.     cdev_init(protocol_cdev,&protocol_fops);1 H/ V6 D9 h. ~+ r. N1 v* r" K+ a( V
  200.     protocol_cdev->owner=THIS_MODULE;
    % u# `0 s, H( Z' y( i6 d$ g
  201.     //注册cdev
    9 q# |0 ?5 z; H  l& Z8 }" n/ u
  202.     cdev_add(protocol_cdev, protocol_dev_no, 1); ( u3 i2 d/ B6 i2 }* |+ u
  203.     //创建一个类: M- C4 b/ G% K# ~
  204.     protocol_class = class_create(THIS_MODULE, DEVICE_NAME);8 Q, I+ Y5 m* }- S( ?: R! @
  205.     //创建设备节点; E+ y3 p' P2 _, I" r1 h
  206.     device_create(protocol_class, NULL, protocol_dev_no, NULL, DEVICE_NAME);- k! {0 ~8 e( x3 U: N
  207.    
    . m5 @8 W2 @1 A) p7 x
  208.     ; Z" z  F/ k5 M! E/ h  f4 s
  209.     //申请链式循环队列作为缓冲区DSP数据帧的缓冲区* x; J9 F, y$ P9 J  i/ z
  210.     k_linkQueue_create(&queue, sizeof(double), 1000, DATA_BLOCK_SIZE);//申请1000个blocksize的队列空间作为帧缓冲区+ r6 [: a1 O4 p

  211. ( u4 s3 N' u- j; H
  212. //映射ARM的核间通讯寄存器
      H$ o) Y4 P# b% D
  213.     R_CHIPSIG = ioremap(SOC_SYSCFG_0_REGS + SYSCFG0_CHIPSIG, 4);8 ?" O( D! k: D  m2 S/ A
  214.     R_CHIPSIG_CLR = ioremap(SOC_SYSCFG_0_REGS + SYSCFG0_CHIPSIG_CLR, 4);; T1 B( G2 w. C  Z! S& d
  215.     //将物理地址映射到内核空间# a/ i5 f* M4 v
  216.     mem_base = ioremap(SHARED_BUFFER_ADDR, SHARED_BUFFER_SIZE);( {) D* U3 M4 S2 U7 W  c
  217.     //共享内存初始化
    7 O. h% [7 Z% p" H0 \0 H! M
  218.     SHM_ARM_Init((unsigned char *)mem_base);+ O& e& \% \. e1 S5 Y
  219.     /*申请中断*/) s" f4 V. T* ~2 q% B
  220. # `! j8 ^/ ^6 T5 o$ t; A
  221.     result = request_irq(IRQ_DA8XX_CHIPINT0, CHIPINT0_interrupt,IRQF_SHARED,"DSP Signal",&protocol_cdev);
    , [/ }, i" O4 P- O9 t0 R6 e! J
  222.     if(result != 0): m9 X& {/ j# Q) W: [, J
  223.     {
    ; `, k5 ~$ k/ |  o: W3 R; A
  224.         if(result == -EINVAL)
    2 V( P. ], ~0 ~
  225.         {
    $ U8 `. f$ n' I6 H
  226.             printk(KERN_ALERT "irq request err:-EINVAL\n");$ N# n( Q. L8 Z( u) \! v0 w
  227.         }' w1 B" }- ?) [( I
  228.         else if(result == -EBUSY): E0 a, g1 e9 H* E% o7 T; W
  229.         {
    0 g( p1 I2 e) o3 E" b; {
  230.             printk(KERN_ALERT "irq request err:--EBUSY\n");$ a# f9 Q* R- Z& y2 R
  231.         }" B/ J  X1 j" Q7 N0 K
  232.         else
    % h4 Q, g% ^% Q) k) u
  233.         {
    ; a# P' C* G9 I# j2 U9 a1 p
  234.             printk(KERN_ALERT "irq request err: unknown\n");
    # {! S) x3 t+ o$ T0 [
  235.         }  @4 _8 k$ j* b9 S* d3 Q7 R
  236.         return result;/ n8 Q* U: j# ^  T, z3 ^0 I: S
  237.     }3 P2 g8 }+ ?, y/ l$ h
  238.     return 0;9 [( t7 Z) n$ k' y
  239. }
    7 S! ^) i* u* W. X. H+ N

  240. + l9 P, X- B  a
  241. /*设备驱动模块卸载函数*/- \. D6 \. _. q% t# D4 F& x6 W
  242. void __exit protocol_exit(void)
    / y/ C3 P$ M7 O  k" y
  243. {
    " m8 r5 u& }) A' G" M8 ?
  244.         /*释放中断*/
    8 s" n! i' z, e9 U- n
  245.     free_irq(IRQ_DA8XX_CHIPINT0, NULL);
    - R) m3 l5 T& q2 K
  246.     //释放帧缓冲的内存8 h+ |9 `/ |* f8 S
  247.     k_linkQueue_release(&queue);
    # X+ N4 J/ i, y0 p& ~6 G
  248.     //释放寄存器映射
    4 d2 B: p, h& Q$ {. ^- d
  249.     iounmap(R_CHIPSIG);5 {5 }! I# k1 j
  250.     iounmap(R_CHIPSIG_CLR);# K' Z$ i4 F: a; G
  251.     cdev_del(protocol_cdev);                            //删除cdev
    / N6 w# n5 F' Y4 W! B. L
  252.     unregister_chrdev_region(protocol_dev_no, 1);   //注销设备号
    8 c9 X! h3 I6 O: P2 _9 d
  253.     device_destroy(protocol_class, protocol_dev_no);            //销毁设备节点
      L8 [4 h0 w) j0 o: v" u0 m& {9 h, k
  254.     class_destroy(protocol_class);                      //销毁设备类
    + X# k( E7 [6 K$ {9 h* r
  255.     printk(KERN_ALERT "exit success\n");% @, u$ |- v# g' ^9 S* K% |" e

  256. 2 B1 g- p3 v' \$ P, S
  257. }
    7 Z) S8 x- S) m- S* Q
  258. //驱动其他部分省略
复制代码

4 s0 |( e! }! J8 `7 w% L/ n3 u5 D* T; P- c" l, ]
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖
回复

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
沙发
 楼主| 发表于 2017-4-16 13:54:19 | 只看该作者
没有人遇到这个问题吗
回复 支持 反对

使用道具 举报

3

主题

852

帖子

3538

积分

创龙

Rank: 8Rank: 8

积分
3538
板凳
发表于 2017-4-16 18:19:44 | 只看该作者
可以参考一下TL_IPC双核通信例程。
- }( S4 i0 C4 LTL_IPC是广州创龙独立开发的一种双核通讯协议。ARM核上运行Linux程序,DSP核上运行裸机或SYS/BIOS程序。基于TL_IPC的开发是指在两个处理间采用以直接触发中断进行异步事件通知,以直接读写共享内存进行数据交换,并结合创龙提供的c674x_irq_events内核模块(用于ARM端程序捕获由DSP触发的中断)实现双核通信的一种双核开发方式。
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
地板
 楼主| 发表于 2017-4-16 21:23:53 | 只看该作者
human 发表于 2017-4-16 18:192 d: P$ r) j2 M( w; {
可以参考一下TL_IPC双核通信例程。
+ [2 s- h' k: N1 L3 XTL_IPC是广州创龙独立开发的一种双核通讯协议。ARM核上运行Linux程序,D ...

% `# P1 T( d( f7 y- h3 E0 G9 v$ F@human 您好,请问有c674x_irq_events模块的源码吗,光盘没有附带,只提供模块,这个模块还不能满足我的需求,但是我想参考他的中断实现。谢谢
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
5#
 楼主| 发表于 2017-4-17 10:12:54 | 只看该作者
human 发表于 2017-4-16 18:197 T( ^6 f2 z1 I( h; C
可以参考一下TL_IPC双核通信例程。
0 y- {8 p# A# R3 f1 b/ JTL_IPC是广州创龙独立开发的一种双核通讯协议。ARM核上运行Linux程序,D ...
9 R- i* c  o( U2 O
请问有c674x_irq_events模块的源码吗
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
6#
 楼主| 发表于 2017-4-17 12:08:24 | 只看该作者
问题已解决,驱动没有任何问题,而是DSP端调用了DelayTimerSetup()这个函数导致定时器的状态被改变,从而导致arm端的定时器驱动无法正常工作,而依赖此定时器的其他驱动也就无法正常工作了,这也是双核的弊端吧,两个核共用一些资源,如果一个核在没有告知其他核的情况下改变了一些资源的寄存器就会导致出问题。
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
7#
 楼主| 发表于 2017-4-17 13:07:27 | 只看该作者
至于DSP触发ARM中断两次的问题,这是由于linux的中断机制导致的,可以参考https://e2e.ti.com/support/embedded/linux/f/354/t/2345105 }7 I, c% n3 ~/ X( g3 K' q  z
解决方法:在dsp端触发后立即将clr标志位置1清除中断线,即可解决触发两次的问题
回复 支持 反对

使用道具 举报

3

主题

852

帖子

3538

积分

创龙

Rank: 8Rank: 8

积分
3538
8#
发表于 2017-4-17 15:41:59 | 只看该作者
加陈工的QQ2942385389获取资料
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-6-1 23:09 , Processed in 0.049178 second(s), 23 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

快速回复 返回顶部 返回列表